(交通运输)实验三交通灯控制器设计精编

发布时间:   来源:文档文库   
字号:

(交通运输)实验三交通灯
控制器设计




(交通运输)实验三交通灯
控制器设计


实验三:交通灯控制器设计壹、实验目的
①学习采用状态机方法设计时序逻辑电路。②掌握ispLEVER软件的使用方法。③掌握用VHDL语言设计数字逻辑电路。④掌握ISP器件的使用。二、实验所用器件和设备
在系统可编程逻辑器件ISP1032壹片示波器壹台万用表或逻辑笔壹只
TEC-5实验系统,或TDS-2B数字电路实验系统壹台三、实验内容
以实验台上的4个红色电平指示灯,4个绿色电平指示灯模仿路口的东南西北4个方向的红,绿,
黄交通灯。控制这些交通灯,使它们按下列规律亮,灭。(1初始状态为4个方向的红灯全亮,时间1s
(2东,西方向绿灯亮,南,北方向红灯亮。东,西方向通车,时间5s(3东,西方向黄灯闪烁,南,北方向红灯,时间2s
(4东,西方向红灯亮,南,北方向绿灯亮。南,北方向通车,时间5s(5东,西方向红灯闪烁,南,北方向黄灯闪烁,时间2s(6返回(2,继续运行。
(7如果发生紧急事件,例如救护车,警车通过,则按下单脉冲按钮,使得东,南,西,北四

方向红灯亮。紧急事件结束后,松开单脉冲按钮,将恢复到被打断的状态继续运行。四、设计思路
(1熟悉掌握使用枚举类型数据格式结合CASE语句实现状态机设计。
(2这是壹个典型的时序状态机,壹共6个大的状态。由于各个状态停留时间不同,但都是秒的倍数。能够考虑设计当前状态和下壹状态俩个枚举型数据,每秒刷新旧状态值,各个状态的timeout时对下壹状态赋值。
(3黄灯闪烁可通过连续俩0.2s,灭0.2s实现。
(4选择实验台上的5kHz频率时钟,作为设计中分频的初始时钟。(5紧急事件发生时,要注意保存必要的信息,已被紧急事件结束后,恢复到原状态继续运行使用。3-1交通灯控制框图五、设计方案
LIBRARYieee;
USEieee.std_logic_1164.all;ENTITYtralightisport(
数字逻辑课程设计12
restart,emergency,clk:instd_logic;
--restart为复位信号,emergency为紧急事件信号,clk为实验台上的5kHz频率时

钟,
作为设计中分频的初始时钟
light:outstd_logic_vector(11downto0;--light输出到实验台上12个指示灯ARCHITECTUREartoftralightis
typetrafficstateis(s0,s1,s2,s3,s4;--交通灯状态
signalcurrent_state,next_state:trafficstate;--当前状态,转移状态signalen1,en2,en3,en4,c,c1,c2,c3,c4:std_logic;--en为计数器使能控制信号,c为计数器进位信号
signaltemp1:integerrange0to49999;--产生1s计数器时计数signaltemp2:integerrange0to99999;--产生2s计数器时计数signaltemp3:integerrange0to249999;--产生5s计数器时计数signaltemp4:integerrange0to9999;--产生0.2s计数器时计数begin
-----1s计数器,5kHz原始信号进行5000分频,每秒产生壹个进位脉冲c1process(clk,en1begin
if(clk'eventandclk='1'then
if(temp1=49999anden1='1'andemergency='0'thentemp1<=0;c1<='1';
elsif(en1='1'andemergency='0'then

temp1<=temp1+1;c1<='0';endif;endif;
if(en1='0'thenc1<='0';temp1<=0;endif;endprocess;
-----2s计数器,5kHz原始信号进行10000分频,每俩秒产生壹个进位脉冲c2process(clk,en2begin
if(clk'eventandclk='1'then
if(temp2=99999anden2='1'andemergency='0'thentemp2<=0;c2<='1';
elsif(en2='1'andemergency='0'thentemp2<=temp2+1;c2<='0';
数字逻辑课程设计13endif;

endif;
if(en2='0'thenc2<='0';temp2<=0;endif;endprocess;
-----5s计数器,5kHz原始信号进行25000分频,每五秒产生壹个进位脉冲c3process(clk,en3begin
if(clk'eventandclk='1'then
if(temp3=249999anden3='1'andemergency='0'thentemp3<=0;c3<='1';
elsif(en3='1'andemergency='0'thentemp3<=temp3+1;c3<='0';endif;endif;
if(en3='0'thentemp3<=0;c3<='0';endif;

endprocess;
--0.2s计数器,5kHz原始信号进行10000分频,0.2秒产生壹个进位脉冲c4process(clk,en4begin
if(clk'eventandclk='1'thenif(temp4=9999anden4='1'thentemp4<=0;c4<=notc4;elsif(en4='1'thentemp4<=temp4+1;endif;endif;endprocess;
c<=c1orc2orc3;--进位信号控制状态转移process(c,restart--状态转移进程begin
if(restart='1'then--复位信号使状态回到s0,即红灯全亮1scurrent_state<=s0;数字逻辑课程设计14
elsif(c'eventandc='0'then--遇到计数器进位转移到下壹状态current_state<=next_state;

endif;endprocess;
process(current_state,emergency--控制状态转移,信号灯亮灭begin
if(emergency='1'then--紧急状态红灯全亮light<="000000001111";else
casecurrent_stateis
whens0=>--初始四个方向的红灯全亮,延时1light<="000000001111";en2<='0';en3<='0';en1<='1';next_state<=s1;
whens1=>--东西方向绿灯亮,南北方向红灯亮,延时5en1<='0';en2<='0';
light<="010100001010";en3<='1';next_state<=s2;
whens2=>--东西方向黄灯闪,南北方向红灯亮,延时2en3<='0';

en2<='1';en4<='1';
light(11downto7<="00000";light(6<=c4;light(5<='0';light(4<=c4;
light(3downto0<="1010";next_state<=s3;
whens3=>--东西方向红灯亮,南北方向绿灯亮,延时5en2<='0';en4<='0';en3<='1';
light<="101000000101";next_state<=s4;
whens4=>--东西方向红灯闪,南北方向黄灯闪,延时2en3<='0';en2<='1';en4<='1';
light(11downto8<="0000";数字逻辑课程设计15
light(7<=c4;

light(6<='0';light(5<=c4;
light(4downto0<="00101";next_state<=s1;endcase;endif;endprocess;endart;
六、调试中出现的问题及解决方法
这次实验总体思路比较清晰,不需要太多的输入,只有复位和紧急状态输入,可是在具体实现上
遇到了壹些问题,有的地方有毛刺现象。
①对于实验中要求的四个时间计数器,开始我们考虑的是只写壹0.2s的计数器,放在例化元件里,
状态需要的时间能够多次调用该元件,可是实际操作中需要用控制信号控制计数器的运行,在壹个计
数器里不好控制,最终我们采用四个计数器的进程。
②在交通灯系统运行过程中,我们发现同壹个状态的时间是不稳定的,如5s状态可能只进行了2s
就跳到下壹个状态。这其实是壹个很严重的问题,也是我们最后才想明白的。解决方法是:控制信号
使计数器停止工作时(既状态转移使en=0,将进位信号c和计数

temp都清零。
③壹开始我们将紧急情况单独设置为壹个状态,再次状态下所有计数器控制信号使计数器停止工
作,可是由于问题2,计数的temp清零,无法保存现场。对于此问题,我们采用另壹种方法,不转
移状态,只是单独让计数器停止工作,既让计数器在emergency=1的情况下,每当来壹个脉冲,计数器加1
④对于黄灯闪的情况,我们发现
light(11downto0<="0000&c4&0&c4&00101"且不能使黄灯闪烁,改为
light(11downto8<="0000";light(7<=c4;light(6<='0';light(5<=c4;
light(4downto0<="00101";问题即可解决。七、层次设计的体会
实验思路比较简单,我们没有采用元件例化的方法,可是模块划分仍然很清晰。程序可分为计数
器模块,状态转移模块,输出模块(控制灯亮灭。层次设计将大问题分解为较小的问题,能够提高效率,使思路清晰。
八、比较不同种描述方式的心得

实验中结构体的三种描述方式都用到了:数据流描述、结构描述、行为描述。数据流描述逻辑清
晰、描述简单。结构描述使程序模块划分清晰,便于从宏观上把握程序功能,便于整体设计。行为描
述更容易把握程序对于不同输入或者信号所做的动作。根据不同情况选择不同描述方法能够使程序得到优化。
九、本次设计的收获和不足
本次设计我们首次用到了枚举类型数据格式结合CASE语句实现状态机设计,了解了状态机设计
的特点。能够使程序结构更清晰,提高程序运行效率,更加简单易读。另外,程序中多个进程同时进
行,使我们更加理解了硬件的执行方式,什么是且行执行。本次是实验小组成员共同讨论的成果,从
思路的提出,具体的设计,问题的调试,每个人都提出了自己的见法,使得程序更加完善,效率更高。
同时,提高了我们的团队合作意识,在实验设计过程中,我们得到了很多工作经验。数字逻辑课程设计16
对于每个时间状态,我们用了4个计数器,使得代码效率有壹定的降低。__



本文来源:https://www.2haoxitong.net/k/doc/8db689bfd35abe23482fb4daa58da0116c171fcc.html

《(交通运输)实验三交通灯控制器设计精编.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:
点击下载文档

文档为doc格式