优先编码器课程设计

发布时间:2020-05-24   来源:文档文库   
字号:
东北石油大学课程设计任务书
课程硬件课程设计
题目8-3优先级编码器设计 专业计算机科学与技术姓名学号 主要内容、基本要求等
一、主要内容:
利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计8-3优先编码器。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求:
设计并实现一个8-3优先级编码器,要求I0优先级最高,I7优先级最低,编码输出为原码。 三、扩展要求:
输入端加使能端,在使能端为有效的低电平时,进行编码;在使能端为无效的高电平时,输出高阻状态。 四、参考文献:
[1]杨刚,龙海燕.现代电子技术-VHDL与数据系统设计.北京:电子工业出版社,2004 [2] 黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006 [3]潘松.VHDL实用教程[M].成都:电子科技大学出版社,2000 [4]李国丽,朱维勇.电子技术实验指导书.合肥:中国科技大学出版社,2000[5]宋振.EDA技术与VHDL.北京:北京大学出版社,2008 完成期限18-19 指导教师张岩 专业负责人富宇
2011 628
目录 1章概述

1.1 EDA的概念
EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA设计可分为系统级、电路级和物理实现级。
EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图;在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。21世纪将是EDA技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。? 硬件描述语言?:?硬件描述语言(HDL是一种用于进行电子系统硬件设计的计算机高级语言,它采用软件的设计方法来描述电子系统的逻辑功能、电路结构和连接形式。?常用硬件描述语言有HDLVerilogVHDL语言。[1]
技术及应用
EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验并从事简单系统的设计。一般学习电路仿真工具(如EWBPSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统),为今后工作打下基础。
在产品设计与制造方面,包括前期的计算机仿真,产品开发中的EDA具应用、系统级模拟及测试环境的仿真,生产流水线的EDA技术应用、产品
测试等各个环节。如PCB的制作、电子设备的研制与生产、电路板的焊接、ASIC的流片过程等。
从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA有应用。另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图,汽车和飞机的模型、电影特技等领域。[2]
技术发展趋势
印度正在成为电子设计自动化领域发展最快的两个市场,年夏合增长率分别达到了50%30%EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平仍很有限,尚需迎头赶上。EDA技术在进入21世纪后,由于更大规模的FPGA器件的不断推出,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断更新、增加,使电子EDA技术得到了更大的发展。电子技术全方位纳入EDA领域,EDA使得电子领域各学科的界限更加模糊,更加互为包容,突出表现在以下几个方面:使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块;软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认;SoC高效低成本设计技术的成熟。随着半导体技术、集成技术和计算机技术的迅猛发展,电子系统的设计方法和设计手段都发生了很大的变化。可以说电子EDA技术是电子设计领域的一场革命。传统的固定功能集成块十连线的设计方法正逐步地退出历史舞台,而基于芯片的设计方法正成为现代电子系统设计的主流。作为高等院校有关专业的学生和广大
的电子工程师了解和掌握这一先进技术是势在必行,这不仅是提高设计效率的需要,更是时代发展的需求,只有掌握了EDA技术才有能力参与世界电子工业市场的竞争,才能生存与发展。随着科技的进步,电子产品的更新日新月异,EDA技术作为电子产品开发研制的源动力,已成为现代电子设计的核心。所以发展EDA技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电类课程的教学和科研提出了更深更高的要求。特别是EDA技术在我国尚未普及,掌握和普及这一全新的技术,将对我国电子技术的发展具有深远的意义。EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具在各地开花并结果
特点介绍
QuartusIIAltera公司的综合性PLD开发软件,支持原理图、VHDLVerilogHDL以及AHDLAlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
QuartusII可以在XPLinux以及Unix上使用,除了可以使用Tcl本完成设计流程外,提供了完善的用户图形界面设计方式[3]具有运行速度快,界面统一,功能集中,易学易用等特点。
QuartusII支持AlteraIP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。
此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统SOPC开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变[7]AlteraQuartusII中包含了许多诸如SignalTapIIChipEditorRTLViewer的设计辅助工具,集成了SOPCHardCopy设计流程,并且继承MaxplusII友好的图形界面及简便的使用方法。
AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。[4]
2章硬件描述语言——VHDL 的简介
VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为AI/IEEE的标准IEEESTD1076-19871993年更进一步修订,变得更加完备,成为AI/IEEEAI/IEEESTD1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。VHDL的英文全写是:VHSICVeryHigheedIntegratedCircuitHardwareDescriptiongLanguage.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。[5]
语言的特点
VHDL是一种用普通文本形式设计数字系统的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,可以在任何文字处理软件环境中编辑。除了含有许多具有硬件特征的语句外,其形式、描述风格及语法十分类似于计算机高级语言。VHDL程序将一项工程设计项目(或称设计实体)分成描述外部端口信号的可视部分和描述端口信号之间逻辑关系的内部不可视部分,这种将设计项目分成内、外两个部分的概念是硬件描述语言(HDL)的基本特征。当一个设计项目定义了外部界面(端口),在其内部设计完成后,其他的设计就可以利用外部端口直接调用这个项目。VHDL的主要特点如下:
1.作为HDL的第一个国际标准,VHDL具有很强的可移植性。

2.具有丰富的模拟仿真语句和库函数,随时可对设计进行仿真模拟,而能将设计中的错误消除在电路系统装配之前,在设计早期就能检查设计系统功能的可行性,有很强的预测能力。
有良好的可读性,接近高级语言,容易理解。
4.系统设计与硬件结构无关,方便了工艺的转换,也不会因工艺变化而使描述过时。
5.支持模块化设计,可将大规模设计项目分解成若干个小项目,还可以把已有的设计项目作为一个模块调用。
6.对于用VHDL完成的一个确定设计,可以利用EDA工具进行逻辑综合和优化,并能自动地把VHDL描述转变成门电路级网表文件。
7.设计灵活,修改方便,同时也便于设计结果的交流、保存和重用,产品开发速度快,成本低。[6]
的设计流程
它主要包括以下几个步骤:
1.文本编辑:用任何文本编辑器都可以进行,也可以用专用的HDL辑环境。通常VHDL文件保存为.vhd文件,Verilog文件保存为.v文件。
2.使用编译工具编译源文件。
3.功能仿真:将文件调入HDL仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完成以后,进行时序仿真)
4.逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式。逻辑综合软件会生成.edf.edifEDA工业标准文件。
5.布局布线:将.edf文件调入PLD厂家提供的软件中进行布线,即把设计好的逻辑安放PLD/FPGA内。

6.时序仿真:需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序。(也叫后仿真)通常以上过程可以都在PLD/FPGA厂家提供的开发工具。
7.烧写器件
38-3优先编码器的设计
编码器的工作原理
-三优先编码器输入信号为din0din1din2din3din4din5din6din7,输出信号为out2out1out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0din1状态决定,依次类推。因为din0din78中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。
3-18-3优先编码器真值表
输入 din0 1 0 0 0 0 0 0 0 din1 x 1 0 0 0 0 0 0 din2 x x 1 0 0 0 0 0 din3 x x x 1 0 0 0 0 din4 x x x x 1 0 0 0 din5 x x x x x 1 0 0 din6 x x x x x x 1 0
输出 out0 0 1 0 1 0 1 0 0 out1 0 0 1 1 0 0 1 1 out2 0 0 0 0 1 1 1 1 din7 x x x x x x x 1 优先编码器的设计
8-3译码器由VHDL程序来实现,VHDL语言描述如下: LIBRARYIEEE; '0'THEN IF(din(0='1'THENoutput<="000"; ELSIF(din(1='1'THENoutput<="100"; ELSIF(din(2='1'THENoutput<="010"; ELSIF(din(3='1'THENoutput<="110";
ELSIF(din(4='1'THENoutput<="001"; ELSIF(din(5='1'THENoutput<="101"; ELSIF(din(6='1'THENoutput<="011"; ELSEoutput<="111"; ENDIF; ELSEoutput<="ZZZ"; ENDIF; ENDPROCESS; ENDbehav;
优先编码器仿真及分析
-三优先编码器由VHDL程序实现后,其仿真图如图2-1所示。
3-18-3优先编码器功能仿真图
对其仿真图进行仿真分析:din为输入信号组,它由din7-din0八个输入信号组成。output为输出信号组,它由output2-output0三个二进制代码输出信号组成。enable为使能端,当enable0时编码器工作,当使能端为1时输出高阻状态。当din01时,即输入为:1*******时,输出111,当din00时,输出由优先级仅次于din0din1决定,即输入为:01******时,输出110,紧接着依次类推,分别得出输入为:001*****时,输出101,输入为:0001****时,输出100,输入为00001***时,输出为011。到此为止由于使能段变为高电平,输出信号为高阻状态,但可以推断出当输入为000001**时,输出010,输入为0000001*时,输出001,输入为00000001时,输出为000
在实验箱上实现8-3优先编码器
首先设置八-三优先编码器器下载板芯片引脚,如下图所示
3-2引脚设置示意图
试验箱各部分导线链接完毕后开始向实验箱烧录程序,如下图所示
3-3程序烧录示意图
如上图所示程序已经成功烧录进芯片中,下面开始在实验箱上实现8-3编码器的功能。
下图为实验箱的具体连线图
3-4实验箱连线示意图
由上图可知,使能端为0芯片正常工作,d0d7输入端均为0,则输出端应为‘111’,输出结果如下图所示:
3-5输出结果示意图

下面测试使能端为1时芯片的工作情况:
3-6输入端示意图
由上图所示,使能端为1芯片不工作,输入端取任意值,此时输出端应为高阻状态,输出结果如下图所示:
3-7输出端示意图
输出端为高阻状态,没有灯亮。
结论
通过两星期的紧张工作,最后完成了我的设计任务——基于VHDL的编码器的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性所在。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。
在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。
参考文献
[1]杨刚,龙海燕.现代电子技术-VHDL与数据系统设计.北京:电子工业出版,2004 [2] 黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006 [3]潘松.VHDL实用教程[M].成都:电子科技大学出版社,2000 [4]李国丽,朱维勇.电子技术实验指导书.合肥:中国科技大学出版社,2000[5]宋振辉.EDA技术与VHDL.北京:北京大学出版社,2008 [5]宋振辉.EDA技术与VHDL.北京:北京大学出版社,2008 [6]王锁萍.电子设计自动化(EDA)教程.成都:电子科技大学出版社,2001
东北石油大学课程设计成绩评价表
课程名称 题目名称 学生姓名 序号
评价项目 工作量、工作态度和出勤率
学号

指标
按期圆满的完成了规定的任务,难易程度和工作量符合教学要求,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。
课程设计选题合理,计算过程简练准确,分析
2 课程设计质量
问题思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。
3 4 总分
创新 答辩

工作中有创新意识,对前人工作有一些改进或有一定应用价值。
能正确回答指导教师所提出的问题。
5 30
45
20

硬件课程设计 8-3优先编码器
指导教师姓名
张岩
职称 满分
讲师 评分
1 评语:
指导教师:年月日

本文来源:https://www.2haoxitong.net/k/doc/69204669356baf1ffc4ffe4733687e21ae45ff6f.html

《优先编码器课程设计.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:
点击下载文档

文档为doc格式