VHDL语言数字系统中英文对照外文翻译文献

发布时间:   来源:文档文库   
字号:



中英文对照外文翻译

(文档含英文原文和中文翻译

DESIGNINGADIGITALSYSTEMWITHVHDL

Abstract:InthispaperadigitalsystemdesigningwithVHDLispresented.Hereare
exposedsequentiallyallthephasesoftheverydigitalsystem'sdesigning.Themainmethodsarealsoonshowhere.Theprojectdescriptions’typesarepresented.ThestressisputontheuseofVHDLforsynthesisofstructuralandbehavioralmodels.
ForcreatingtheprojectofthechosendigitalsystemanintegratedsystemWebPackwas
used,aswellasModelSImXEIIforthemodel'ssimulation.

Keywords:Design,VHDL,digitalsystems,model,WebPack

1.INTRODUCTION
Thedigitalsystemsarecomplexones,consistingoflotsofcomponents.Asfarasthe
automateddesignofsuchsystemsisconcerned,methodsfordesigningtimereducingandlimitingthecomplexityofthetaskaresoughtoutandapplied.Amethodofthekindisconnectedwiththedecompositionandhierarchyprinciples.Thedecompositionofthesystemsisrealizedinaway,whichdifferentiatesfunctionallyindependentmodules.
Adigitalsystemcanbedescribedasamodulewithinputsand/oroutputs.Theelectrical
valuesontheoutputsaresomefunctionofthevaluesontheinputs.


Onewayofdescribingthefunctionofamoduleistodescribehowitiscomposedof
sub-modules.Eachofthesub-modulesisaninstanceofsomeentity,andtheportsoftheinstancesareconnectedusingsignals.Thiskindofdescriptioniscalledastructuraldescription.
Inmanycases,itisnotappropriatetodescribeamodulestructurally.Onesuchcaseisa
module,whichisatthebottomofthehierarchyofsomeotherstructuraldescription.Forexample,ifyouaredesigningasystemusingICpackagesboughtfromanICshop,youdonotneedtodescribetheinternalstructureofanIC.Insuchcases,adescriptionofthefunctionperformedbythemoduleisrequired,withoutreferencetoitsactualinternalstructure.Suchadescriptioniscalledafunctionalorbehavioraldescription.
Usually,forstructuralandbehavioraldescription,eitherVerilogorVHDLisused.Inthis
paperadesigningwithVHDLispresented.Hereareexposedsequentiallyallthephasesoftheverydigitalsystem'sdesigning.Themainmethodsarealsoonshowhere.Theprojectdescriptions’typesarepresented.ThestressisputontheuseofVHDLforsynthesisofstructuralandbehavioralmodels.HerearepresentedseveralVHDLmodelsofcomputersystems’components.

2.METHODSANDSTAGESINDIGITALSYSTEMS’DESIGN
Indigitalsystems’design,aswellasdesignofcomplexsystems,acoupleofmethodsarein
use:
top-downdesigning;up-downdesigning.
Intop-downdesigningthebuildingupofthesystemisusuallystartedfrombelowin
uprightdirectionthroughelaboratingtheelementblocks’schemes,assembledlatertoformthewholeproduct.
Anadvantageofthismethodistheuseofrepresentationonfunctionalblocklevelandthe
lower,thestructurallevel,isaddressedonlyduringtheerrorchecksimulationswithintheproject.
Theup-downdesigningstartswithaspecificationonthehighestlevel.Afterthat,the
projectisbeingdecomposedintofunctionalblocksandtherequirementsfortheincomeandoutcometimeproportionsarespecified.Thefunctionalmodelsaredescribedthroughbehavioralmodelsorbymodelsonregisterlevelsandaresubsequentlysimulated.
Someoftheadvantagesofthemethodsare:
аneasierexecutionofthetask’sspecifications;
иtallowsaprojects’checkonsystemlevel,withouttacklingthestructural
details;
Theproject’scheckisdone,withnoregardtothetechnologyofitsrealization.
Thatallowsthatthechoiceoftechnologybemadeonalaterstageofthedesigningproject.
Themosteffectiveup-downdesigningmethodistheuseofanabstractdescriptionofthe
schemeandthesequentialdetailsspecifyingofthedifferenthierarchylevels’description.
Thedigitalsystems’designgoesthroughthenextstages:

Specification;
Functional(electricaldesigning;Physicaldesigning;Manufacturing;Testing.


Throughspecificationtheproductparameters,necessaryforitsproperdestination,are
determined.
Throughthefunctional(electricaldesigning,theelectricalscheme,responsibleforthe
functionsandparametersoftheproduct,intermsofthespecification,iselaborated.
Thebehavioralstageservesasadescriptionfortheschemeasasystem,anditsentriesand
exitsaremarkedout.Inmostofthecases,VHDLmodelsareused.
TheFunctional(electricaldesigningdealswithmainfunctionalblocks’elaboration.
UsuallyadetailedVHDLdescriptionofthefunctionalblockismadeandbeingcheckedbyaVHDLsimulation.
Withtheincreasingcomplexityoftheprojects,fortheelaborationonstructurallevel,the
techniqueofsynthesisisapplied.ItallowsthattheschemewithlogicalelementsbesynthesizedfromaVHDLdescription.Throughlogicaldescriptiondetailssuchascharging,elements’delay,arespecifiedandcrucialmethodsandproblemswithtimescatteringofsignalsaredefined.
ThePhysicaldesigningstagesstronglydependontechnology.Thecommontaskis
concernedwiththedeployingofthelogicalelementsanddefining(tracingtheirinterrelations.
ProvidedthatfortheproductrealizationPLD,CPLDorFPGAchipsareused,thenthe
resultofthephysicaldesigningrepresentsaconfigurationfilefordesigningthechosendevice’sresources.
Thetestingoftheprojectrepresentsanumberofprocedures,usedbydesigners,toprovide:adequacybetweenprojectandspecification;
theexecutionoftheprojectintermsofthechosentechnology.
Thedesigningprocessisusuallyiterative,includingpre-designingofgivenparts,untilthe
intendedindicatorsareobtained.
Forthetasksoftestinginelectricaldesigning(thefunctionalityoftheproductandits
electricalparameters,simulationsareused.
Thesimulationonbehavioralleveldefineshowtheproductwillrun,beforeitsactual
compoundingblocksarechosen.Forworkingoutofthebehavioralmodels,thehardwaredescriptionlanguagesareused(VHDL,Verilogandothers.
Throughsimulation,onalogicalprimitiveslevel,theschemesarebuiltupwithbasic
logicalelements“AND-NO”,“OR-NO”,invertorsandtriggersandarebeingsimulatedinordertofindoutirrelevanceswiththeirexpectedacting.
Infunctionaltesting,thedelaysarenotconcernedortheyaresupposedsimilarforall
logicalelements.
Erroridentificationafterthephysicaldesign
Aftertopology’sfinalelaborationaremadethenextprocedures:checkoutofthetechnormsthroughoutmanufacturing;checkoutfortheproject’sauthenticity.
Thetechnormsformanufacturingarespecificforeachtechnologicalprocess.
Theauthenticityverificationoftheprojectaimstoguaranteetheproduct’sproperworking.
Itincludes:
findingouttheinterconnectionofthescheme;
findingouttheparasitecomponentsofthetopology.3.TYPESOFDESIGNDESCRIPTIONS
Throughthedesigningprocess,threetypesofdesigndescriptionareinuse:

本文来源:https://www.2haoxitong.net/k/doc/f31093316137ee06eef91813.html

《VHDL语言数字系统中英文对照外文翻译文献.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:
点击下载文档

文档为doc格式