优先编码器设计

发布时间:2016-12-30 14:13:18   来源:文档文库   
字号:

辽宁工程技术大学

数电项目

74LS148编码器设计

院(系、部) 电气与控制工程学院

电网13-1

1305080116

苏小平

2016/12/23



1.设计规则

在优先编码器电路中,允许同时输入两个以上的编码信号。不过在设计优先编码器时已经将所有的输入信号按照优先顺序依次排序,当几个输入信号同时出现时,只对其中优先级别最高的先进行编码。

2.设计原理

编码器的逻辑功能是将输入的每一个信号编成一个对应的二进制代码。优先编码器的特点是允许编码器同时输入两个以上编码信号 但只对优先级别最高的信号进行编码。

8线-3线优先编码器74LS1488个信号输入端 输入端为低电平时表示请求编码 为高电平时表示没有编码请求有3个编码输出端 输出3位二进制代码编码器还有一个使能端EI当其为低电平时编码器才能正常工作 还有两个输出端GSE0用于扩展编码功能GS0表示编码器处于工作状态 且至少有一个信号请求编码E00表示编码器处于工作状态 但没有信号请求编码。

74LS148芯片管脚:0编码输入端(低电平有效EI 选通输入端(低电平有效),A0A1A2 三位二进制编码输出信号即编码  出端(低电平有),GS 片优先编码输出端即宽展端(低电平有效),EO 选通输出端,即使能输出端。

芯片管角使用方法:

10-7 EI 选通输入端(低电平有效)

2)编码输入端(低电平有效)

3A0A1A2 三位二进制编码输出信号即编码输出端(低电平有效)

4GS 片优先编码输出端即宽展端(低电平有效)

5EO 选通输出端,即使能输出端;

3.设计思路

构建8-3线优先编码器的实验电路。输入信号通过单刀双掷开关接优先编码器的输入端开关通过键盘上的AH键控制接高电平(VCC)或低电平()。使能端通过空格键控制接高电平或低电平。输出端接逻辑探测器的监测输出。

在本次优先编码器的设计规定优先级顺序,采用AH之间代表输入,其中优先级别从AH依次增高,进而H的优先级别最高。用指示灯显示输出,从而观察编码输出的正确性。

4.仿真电路图

18-3线优先编码器74LS148实验电路

5.实验结果

1 优先编码器仿真结果

输入

输出

E1 A B C D E F G H

X3 X2 X1 GS E0

0 1

0 0 1 0 1

0 1 1

0 1 0 0 1

0 1 1 1

0 1 1 0 1

0 1 1 1 1

1 0 0 0 1

0 1 1 1 1 1

1 0 1 0 1

0 1 1 1 1 1 1

1 1 0 0 1

0 1 1 1 1 1 1 1

1 1 1 0 1

0 1 1 1 1 1 1 1 1

1 1 1 1 0

1

1 1 1 1 1

6.实验结果分析

通过启动仿真观察实验现象,证明此次电路设计满足优先编码的要求,当使能端给定低电平时,编码器正常工作,通过输入不同的数值,显示出对应的编码输出结果,当使能端给定高电平时,编码器出现全1输出。当编码器处于工作状态,并且输入端至少有一个信号请求编码时,GS输出为0,当编码器处于工作状态,且输入端没有信号请求编码时,E0输出为0.

7.心得体会

通过此次优先编码器的设计项目,学到了很多,在这次项目设计中我分成了三部分来完成,首先上网找到了软件mulisim的安装包,下载安装到电脑上,其次,查阅资料了解软件的使用方法,我发现还是很简单的,就是一个不断找自己元件然后放置元件的过程,比编程软件简单多了,元件全部找到了,就是连接线路,完成仿真。最后就是写出word版本。在这个过程中,不仅掌握了mulisim软件的使用方法,而且还了解了74LS148芯片的原理和使用方法,总体感觉收获很大。

本文来源:https://www.2haoxitong.net/k/doc/d97a63c527fff705cc1755270722192e4536583d.html

《优先编码器设计.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:
点击下载文档

文档为doc格式