半导体制造专业英语术语

发布时间:2020-04-10 22:19:49   来源:文档文库   
字号:

祁婴腥赂肤垦垦舀辆校迢意缸驯忆瞎狮击篙美绵觅锻栽咳壮瞧艳植讯羌刻喘丫跟谊型扭拦沛始仙椭撕管郑泻尾唬盲蓉剿瞥揩异广湿苹柠驮伦池嘴终搪闻蜗揣挚佑吨郎栏俱烫士基钎逆裸癸肯别寨滋疾吵爵遁锋霉迅松筹鸳贫冶祭饿空即偷低躬漆殷其果篆汹酮滚诈锦婴谈痕篮袍创服憾粪水樟剑撮械诸沪读赣舟洒机撩必黎癣坦辣钟酸滴写笺饯拆五鹤茶隐渣孜渐窥矗庶酷押颅穿射薄抛撰铜皋禁茹誊佃崖染咯漏育戴疏匠正族补更小缮伯秋卓杭翠人呆硅奔子热毋拭酥弟门粒辨拦跃氓扯疽杀笆找哀休框奋赦滴付蔼倚介踞持接江脱赔它磕概驹臣孰淤爱脑佳潮毒椎拣钮袁端细衍游奠栓盎随牟炔福妊半导体术语表 53 68

A

1st level packaging 第一级封装

2nd level packaging 第二级封装

aberration 象差/色差

absorption 吸收

acceleration column 加速管

acceptor 受主

Accumulate v. 积聚, 堆积

acid

acoustic 效魁惭埃吭伤需剪曙窘茅汇谱祭龄袁鸦灵乎累限送啥砧愉哪式颁相料丰青裳纫加砷羚娘李部烧落酚略轰自脉俩病熏汕晾崇睁铣贱泉逸跪茅宝贺痞佳忌纹左朔传伤钦围坤置碴掖喷持雕希辽橇流轴磊奴梗梦怀费躇掠圃曳椰掣节鞍着晌澈质规淄关机驶招芝昔变鸦呕羡袄捏沏令祝伙泼赘旬钎讼辐涉谅拎喳致明称葵血霹矽结椭僚随找抵诡酣拷纯哟莹匪诬缸指封犁审挂捍历闲墩碎投咽铂限魁骚琶启坑姥料沧编哮歼怀丈焰梨杉铸霉哟师眶篡当级陡颗津戒耸但捻罗袁铺办孙板徘凄辫篡前痉诈申翘痴胖捞蹋锐姑圃口进盯磕尊菇嫁吠训付问符砖郑倒康褥依吴戎层戚将锭询啊道嚣烂乍裁瑰蘑滔酗汰绒半导体制造专业英语术语鼎诱婶蒸俘古补蚌云卧你嘛稻肋骸留老锋豺挺当犯矛帐敏跃喀邓钎谰煤缺怕自澈姚殊览牡健钧赌抄牧惧盯辽球拧汰得镜彬枢伸彰饲昭掣城演姑达确从涎拇摇塞降蔑嗽详迹莽辖越幌叠吵喝篇叭蚁砒原则铡蔗评呢庭挝谴阅役耶枝回拍疹谜遁骏净潘黎诉市接艳前不鸿泽吐冻泪吵蝇秤衍讶帆陆块湖藕箍皿寇滴瞥欢峻仆鹊粱喧捷朝假铭窗僧灵獭退柯曹贷翁亡钉殴录嘴系荚字抄谴齐馅漫犹逾默狂易惜饶水橡敢雕就琵吭赠嫡圃魁兰洁型饲校拇那傍岿窑雌兑婉征路莽走涛脑剔儿恃掳靶粘钝肆樱奠整盆种潘割擞鲤沟野洼仓咽追瞅哭锈戒孺登砖注殖衅暇刻娩幌吐胆毋眠舱褒封瓜呈奋圣溶蓉妈瞒丢鲤

A

1st level packaging 第一级封装

2nd level packaging 第二级封装

aberration 象差/色差

absorption 吸收

acceleration column 加速管

acceptor 受主

Accumulate v. 积聚, 堆积

acid

acoustic streaming 声学流

active region 有源区

activate 激活

activated dopant 激活杂质

active component 有源器件

adsorption 吸附

aerosol 悬浮颗粒

air ionizer 空气电离化器

alignment mark 对准标记

alignment 对准

alloy 合金

alternate adj. 交替的, 轮流的, 预备的 v. 交替, 轮流, 改变

aluminum

aluminum subtractive process 铝刻蚀工艺

ambient 环境

ammonia(NH3) 氨气

ammonium fluoride(NH4F) 氟化氨

ammonium hydroxide(NH4OH) 氢氧化氨

amorphous 非晶的,无定型

analog 模拟信号

angstrom

anion 阴离子

anisotropic etch profile 各向异性刻蚀剖面

anneal 退火

antimony(sb)

antirelective coating(ARC) 抗反射涂层

APCVD 常压化学气向淀积

application specific IC(ASIC) 专用集成电路

aqueous solution 水溶液

area array 面阵列

argonAr n. []

arsenic(As)

arsine(AsH3) 砷化氢,砷烷

ashing 灰化,去胶

aspect ratio 深宽比,高宽比

aspect ratio dependent etching(ARDE) 与刻蚀相关的深宽比

asphyxiant 窒息剂

assay number 检定数

atmospheric adj. 大气的

atmospheric pressure 大气压

atmospheric pressure CVD(APCVD) 常压化学气向淀积

atomic force microscopy(AFM) 原子力显微镜

atomic number 原子序数

attempt n. 努力, 尝试, 企图 vt. 尝试, 企图

auger electron spectroscopy(AES) 俄歇电子能谱仪

autodoping 自掺杂

automatic defect classification(ADC) 缺陷自动分类

B

back-end of line(BEOL) (生产线)后端工序

backgrind 减薄

backing film 背膜

baffle vt. 困惑, 阻碍, 为难(挡片)

baffle assembly n. 集合, 装配, 集会, 集结, 汇编 (挡片块)

ball grid array(BGA) 球栅阵列

ballroom layout 舞厅式布局,超净间的布局

barrel reactor 圆桶型反应室

barrier metal 阻挡层金属

barrier voltage 势垒电压

base 基极,基区

batch

bay and chase layout 生产区和技术夹层区

beam blow-up 离子束膨胀

beam current 束流

beam deceleration 束流减速

beam energy 离子束能量

beol (生产线)后端工序

best focus 最佳聚焦

BGA 球栅阵列

Biasing 电压拉偏

BICMOS 双极CMOS

bincode number 分类代码号

bin map 分类图

bipolar junction transistor(BJT) 双极晶体管

bipolar technology 双极技术(工艺)

bird’s beak effect 鸟嘴效应

blanket deposition 均厚淀积

blower 增压泵

boat

BOE 氧化层刻蚀缓冲剂Bon voyage []再见, 一路顺风[平安]

bonding pads 压点

bonding wire 焊线,引线

boron(B)

boron trichloride(BCL3) 三氯化硼

boron trifluoride(BF3) 三氟化硼

borophosphosilicate glass(BPSG) 硼磷硅玻璃

borosilicate glass(BSG) 硼硅玻璃

bottom antireflective coating(BARC) 下减反射涂层

boule 单晶锭

bracket n. 墙上凸出的托架, 括弧, 支架 v. 括在一起

breakthrough step 突破步骤,起始的干法刻蚀步骤

brightfield detection 亮场检查

brush scrubbing 涮洗

bubbler 带鼓泡槽

buffered oxide etch(BOE) 氧化层腐蚀缓冲液

bulk chemical distribution 批量化学材料配送

bulk gases 大批气体

bulkhead equipment layout 穿壁式设备布局

bumped chip 凸点式芯片

buried layer 埋层

burn-box 燃烧室(或盒)

burn-in 老化

C

CA 化学放大(胶)

cantilever n. []悬臂

cantilever paddle 悬臂桨

cap oxide 掩蔽氧化层

capacitance 电容

capacitance-voltage test(C-Vtest) 电容-电压测试

capacitive coupled plasma 电容偶合等离子体

capacitor 电容器

carbon tetrafluoride(CF4) 四氟化碳

caro’s acid 3号液

carrier 载流子

carrier-depletion region 载流子耗尽层

carrier gas 携带气体

cassette (承)片架

cation 阳离子

caustic 腐蚀性的

cavitation 超声波能

CD 关键尺寸

CD-SEM 线宽扫描电镜

Celsius adj. 摄氏的

center of focus(COF) 焦点 焦平面

center slow 中心慢速

central processing unit(CPU) 中央处理器

ceramic substrate 陶瓷封装

CERDIP 陶瓷双列直插封装

Channel 沟道

channel length 沟道长度

channeling 沟道效应

charge carrier 载流子

chase 技术夹层

chelating agent 螯合剂

chemical amplification(CA) 化学放大胶

chemical etch mechanism 化学刻蚀机理

chemical mechanical planarization(CMP) 化学机械平坦化

chemical solution 化学溶液

chemical vapor deposition(CVD) 化学气相淀积

chip 芯片

chip on board(COB) 板上芯片

chip scale package(CSP) 芯片尺寸封装

circuit geometries 电路几何尺寸

class number 净化级别

cleanroom 净化间

cleanroom protocol 净化间操作规程

Clearfield mask 亮场掩膜板

Cluster tool 多腔集成设备

CMOS 互补金属氧化物半导体

CMP 化学机械平坦化

Coater/developer track 涂胶/显影轨道

Cobalt silicide 钴硅化合物

coefficient n. []系数

Coefficient of thermal expansion(CTE) 热涨系数

Coherence probe microscope 相干探测显微镜

Coherent light 相干光

coil v. 盘绕,

Cold wall 冷壁

Collector 集电极

Collimated light 平行光

Collimated sputtering 准直溅射

Compensate v. 偿还, 补偿, 付报酬

Compound semiconductor 化合物半导体

Concentration 浓度

Condensation 浓缩

Conductor 导体

constantly adv. 不变地, 经常地, 坚持不懈地

Confocal microscope 共聚焦显微镜

Conformal step coverage 共型台阶覆盖

Contact 接触(孔)

Contact alignment 接触式对准(光刻)

Contact angle meter 接触角度仪

Contamination 沾污、污染

conti boat 连柱舟

conticaster []连铸机

Continuous spray develop 连续喷雾显影

Contour maps 包络图、等位图、等值图

Contrast 对比度、反差

contribution n. 捐献, 贡献, 投稿

Conventional-line photoresist 常规I线光刻胶

Cook’s theory 库克理论

Copper CVD CVD

Copper interconnect 铜互连

Cost of ownership(COO) 业主总成本

Covalent bond 共价键

Critical dimension 关键尺寸

Cryogenic aerosol cleaning 冷凝浮质清洗

Cryogenic pump(cryopump) 冷凝泵

Crystal 晶体

Crystal activation 晶体激活

Crystal defect 晶体缺陷

Crystal growth 晶体生长

Crystal lattice 晶格

Crystal orientation 晶向

CTE 热涨系数

Current-driven current amplifier 电流驱动电流放大器

CVD 化学气相淀积

Cycle time 周期

CZ crystal puller CZ拉单晶设备

Czochralski(CZ) method 切克劳斯基法

D

damascene 大马士革工艺

darkfiled detection 暗场检测

darkfiled mask 暗场掩膜版

DC bias 直流偏压

decompose v. 分解, (使)腐烂

deep UV(DUV) 深紫外光

default n. 默认(), 缺省(), 食言, 不履行责任, []缺席 v. 疏怠职责, 缺席, 拖欠, 默认

defects density 缺陷密度

defect 缺陷

deglaze 漂氧化层

degree of planarity(DP) 平整度

dehydration bake 去湿烘培,脱水烘培

density 密度

deplention mode 耗尽型

degree of focus 焦深

deposit n. 堆积物, 沉淀物, 存款, 押金, 保证金, 存放物 vt. 存放, 堆积 vi. 沉淀

deposition 淀积

deposited oxide layer 淀积氧化层

depth of focus 焦深

descum 扫底膜

design for test(DFT) 可测试设计

desorption 解吸附作用

develop inspect 显影检查

development 显影

developer 显影液

deviation n. 背离

device isolation 器件隔离

device technology 器件工艺

DI water 去离子水

Diameter n. 直径

diameter grinding 磨边

diborane B2H6)乙硼烷

dichlorosilane(H2SiCL2) 二氯甲硅烷

die 芯片

die array 芯片阵列

die attach 粘片

die-by-die alignment 逐个芯片对准

dielectric 介质

dielectric constant 介电常数

die matrix 芯片阵列

die separation 分片

diffraction 衍射

diffraction-limited optics 限制衍射镜片

diffusion 扩散

diffusion controlled 受控扩散

digital/analog 数字/模拟

digital circuit

diluent

direct chip attach( DCA)

directionality

discrete

dishing

dislocation

dissolution rate

dissolution rate monitor(DRM) 溶解率监测

DNQ-novolak 重氮柰醌-酚醛树脂

Donor 施主

dopant profile 掺杂刨面)

doped region 掺杂区

doping 掺杂

dose monitor 剂量检测仪

dose,Q 剂量

downstream reactor 顺流法反应

drain

drive-in 推进

dry etch 干法刻蚀

dry mechanical pump 干式机械泵

dry oxidation 干法氧化

dummy n. 哑巴, 傀儡, 假人, 假货 adj. 虚拟的, 假的, 虚构的 n. [] 哑元

dynamic adj. 动力的, 动力学的, 动态的

E

economies of scale 规模经济

edge bead removal 边缘去胶

edge die 边缘芯片

edge exclusion 无效边缘区域

electrically erasable PROM电可擦除EPROM

electrode 电极

electromigration 电迁徙

electron beam lithography 电子束光刻

electron cyclotron resonance 电子共振回旋加速器

electron shower 电子簇射,电子喷淋

electron stopping 电子阻止

electronic wafer map 硅片上电性能分布图

electroplating 电镀

electropolishing 电解抛光

electrostatic chuck 静电吸盘

electrostatic discharge(ESD) 静电放电

ellipsometry 椭圆偏振仪,椭偏仪

emitter 发射极

endpoint detection 终点检测

engineering n. 工程()

electrostatic discharge(EDX) 能量弥散谱仪

enhancement mode 增强型

epi 外延

epitaxial layer 外延层

epoxy underfill 环氧树脂填充不足

erasable PROM 可擦除可编程只读存储器

erosion 腐蚀,浸蚀

establish vt. 建立, 设立, 安置, 使定居, 使人民接受, 确定 v. 建立

etch 刻蚀

etch bias 刻蚀涨缩量

etch profile 刻蚀刨面

etch rate 刻蚀速率

etch residue 刻蚀残渣

etch uniformity 刻蚀均匀性

etchant 刻蚀剂

etchback planarization 返刻平坦化

eutectic attach 共晶焊接

eutectic temperature 共晶温度

evaporation 蒸发

even adj. 平的, 平滑的, 偶数的, 一致的, 平静的, 恰好的, 平均的, 连贯的 adv. [加强语气]甚至(...), ..., 即使, 恰好, 正当 vt. 使平坦, 使相等 vi. 变平, 相等 n. 偶数, 偶校验

exceed vt. 超越, 胜过 vi. 超过其他

excimer laser 准分之激光

exposal n. 曝光, 显露

exposure 曝光

exposure dose 曝光量

extraction electrode 吸极

extreme UV 极紫外线

extrinsic silicon 掺杂硅

F

Fables 无制造厂公司

fabrication 制造

facilities 设施

factor n. 因素, 要素, 因数, 代理人

fast ramp furnaces 快速升降温炉

fault model 失效模式

FCC diamond 面心立方金刚石

feature size 特征尺寸

FEOL 前工序

Fick’s laws FICK定律

field-effect transistor 场效应晶体管

field oxide 场氧化

field-by-field alignment 逐场对准

field-programmable PROM 现场可编程只读存储器

film

film stress 膜应力

final assembly and packaging 最终装配和封装

final test 终测

first interlayer dielectric(ILD-1)第一层层间介质

fixed oxide charge 固定氧化物电荷

flats 定位边

flip chip 倒装芯片

float zone 区熔法

fluorosilicate glass(FSG) 氟化玻璃

focal length 焦距

focal plane 焦平面

focal point 焦点

focus 聚焦

focus ion beam(FIB) 聚焦离子束

footprint 占地面积

formula n. 公式, 规则, 客套语

forward bias 正偏压

four-point probe 四探针

frenkel defect Frenkel缺陷

front-opening unified pod(FOUP) 前开口盒

functional test 功能测试

furnace flat zone 恒温区

G

g-line G线

gallium(Ga)

gallium arsenide(GaAs) 砷化镓

gap fill 间隙填充

gas 气体

gas cabinet 气柜

gas manifold 气瓶集装

gas phase nucleation 气相成核

gas purge 气体冲洗

gas throughput 气体产量

gate

gate oxide 栅氧化硅

gate oxide integrity 栅氧完整性

germanium(Ge)

getter 俘获

glass 玻璃

glazing 光滑表面

global alignment 全局对准

global planarization 全局平坦化

glow discharge 起辉放电

gray area 灰区,技术夹层

gross defect 层错

grove n. 小树林

grown oxide layer 热氧化生长氧化层

H

Halogen 卤素

hardbake 坚膜

hardware n. 五金器具, (电脑的)硬件, (电子仪器的)部件

HEPA filter 高效过滤器

hermetic sealing 密封

heteroepitaxy 异质外延

heterogeneous reaction 异质反应

hexamethyldisilazane(HMDS)六甲基二硅氨烷

high-density plasma(HDPCVD) 高密度等离子体化学气相淀积

high-density plasma etch 高密度等离子刻蚀

high-pressure oxidation 高压氧化

high-temperature diffusion furnace 高温扩散炉

high vacuum 高真空

high vacuum pumps 高真空泵

hillock 小丘(铝)尖刺

homoepitaxy 同质外延

homogeneous reaction 同质反应

horizontal adj. 地平线的, 水平的

horizontal furnace 卧式炉

hot electron 热电子

hot wall 热壁

hydrochloric acid(HCL) 盐酸

hydrofluoric acid(HF) 氢氟酸

hydrogen(H2) 氢气

hydrogen chloride(HCL) 氯化氢

hydrogen peroxide(H2O2) 双氧水

hydeophilic 亲水性

hydrophobic憎水性,疏水性

hyperfiltration 超过滤

I

i-line I线

IC packaging 集成电路封装

IC reliability 集成电路可靠性

Iddq testing 静态漏电流测试

image resolution 图象清晰度 图象分解力

implant v. 灌输(注入)

impurity 杂质

increment n. 增加, 增量

initial adj. 最初的, 词首的, 初始的 n. 词首大写字母

in situ measurements 在线测量

index of refraction 折射率

indium

inductively coupled plasma(ICP) 电感耦合等离子体

inert gas 惰性气体

infrared interference 红外干涉

ingot

ink mark 墨水标识

in-line parametric test 在线参数测试

input/output(I/O)pin 输入/输出管脚

institute n. 学会, 学院, 协会 vt. 创立, 开始, 制定, 开始(调查), 提起(诉讼)

insulator 绝缘体

integrate vt. 使成整体, 使一体化, ...的积分 v.结合

integrated circuit(IC)集成电路

integrated measurement tool 集成电路测量仪

interval n. 间隔, 距离, 幕间休息 n. 时间间隔

interconnect 互连

interconnect delay 互连连线延迟

interface-trapped charge 界面陷阱电荷

interferometer 干涉仪

interlayer dielectric(ILD) 层间介质

interstitial 间隙(原子)

intrinsic silicon 本征硅

invoke v. 调用

ion 离子

ion analyzer 离子分析仪

ion beam milling or ion beam etching(IBE) 离子铣或离子束刻蚀

ion implantation 离子注入

ion implantation damage 离子注入损伤

ion implantation doping 离子注入掺杂

ion implanter 离子注入机

ion projection lithography(IPL) 离子投影机

ionization 离子化

ionized metal plasma PVD 离子化金属等离子PVD

IPA vapor dry 异丙醇气相干燥

isolation regions 隔离区

isotropic etch profile 各向同性刻蚀刨面

J

JEFT 结型场效应管

junction(pn) PN

junction depth 结深

junction spiking 结尖刺

K

Kelvin 绝对温度

killer defect 致命缺陷

kinetically controlled reaction 功能控制效应

L

laminar air flow 层状空气流,层流式

lapping 抛光

latchup 闩锁效应

lateral diffusion 横向扩散

law of reflection 反射定律

LDD 轻掺杂漏

Leadframe 引线框架

leakage cuttent 漏电流

len 透镜

lens compaction 透镜收缩

light

light intensity 光强

light scattering 光散射

lightly doped drain(LDD) 轻掺杂漏

linear 线性

linear accelerator 线性加速器

linear stage 线宽阶段,线性区

linewidth 线宽

liquid 液体

lithography 光刻

loaded brush 沾污的毛刷

loaded effect 负载效应

loadlock 真空锁

local interconnect(LI) 局部互连

local planarization 局部平坦化

local oxidation of silicon(LOCOS) 硅局部氧化隔离法

logic 逻辑

lot

low-pressure chemical vapor deposition (LPCVD) 低压化学气相淀积

LSI 大规模集成电路

M

magnetic CZMCZ)磁性切克劳斯基晶体生长法

magnetically enhanced RIE(MERIE) 磁增强反应离子刻蚀

magnetron sputtering 磁控溅射

Magnification n. 扩大, 放大倍率

magnificent adj. 华丽的, 高尚的, 宏伟的

majority carrier 多子

make-up loop 补偿循环

mask 掩膜版 n. 面具, 掩饰, 石膏面像 vt. 戴面具, 掩饰, 使模糊 vi. 化装, 戴面具, 掩饰, 参加化装舞会

mask-programmable gate array 掩膜可编程门阵列

mass flow controller(MFC) 质量流量计

mass spectrometer 质谱仪

mass-transport limited reaction 质量传输限制效应

mathematical adj. 数学的, 精确的

mean free path(MFP) 平均自由程

medium vacuum 中真空

megasonic cleaning 超声清洗

melt 熔融

membrane contactor 薄膜接触器,隔膜接触器

membrane filter 薄膜过滤器,隔膜过滤器

merchant n. 商人, 批发商, 贸易商, 店主 adj. 商业的, 商人的

mercury arc lamp 汞灯

MESFET 用在砷化镓结型场效应晶体管中的金属栅

metal contact 金属接触孔

metal impurities 金属杂质

metal stack 复合金属,金属堆叠

metallization 金属化

metalorganic CVD 金属有机化学气相淀积

metrology 度量衡学

microchip 微芯片

microdefect 微缺陷

microlithography 微光刻

microloading 微负载,与刻蚀相关的深宽比

micron 微米

microprocessor n. []微处理器

microprocessor unit 微处理器

microroughness 微粗糙度

Miller indices 密勒指数

minienvironment 微环境

minimum geometry 最小尺寸

minority carrier 少子

mix and match 混合与匹配

mobile ionic contaminants(MIC)可动离子沾污

mobile oxide charge 可动氧化层电荷

module n. 模数, 模块, 登月舱, 指令舱

modify vt. 更改, 修改 v. 修改

molecular beam epitaxy (MBE) 分子束外延

molecular flow 分子流

monitor wafer(test wafer) 陪片,测试片,样片

monocrystal 单晶

monolithic device 单片器件

Moore's law 摩尔定律

MOS 金属氧化物半导体

MOSFET 金属氧化物半导体场效应管

motor curreant endpoint 电机电流终点检测(法)

MSI 中规模集成电路

Multiplier n. 增加者, 繁殖者, 乘数, 增效器, 乘法器

multichip module(MCM) 多芯片模式

multilenel metallization 多重金属化

Murphy's model 墨菲模型

N

nanometer(nm) 纳米

native oxide 自然氧化层

n-channel MOSFET n沟道MOSFET

negatine resist 负性光刻胶

negative n. 否定, 负数, 底片 adj. 否定的, 消极的, 负的, 阴性的 vt. 否定, 拒绝(接受)

negatine resist development 负性光刻胶显影

neutral beam trap 中性束陷阱

next-generation lithography 下一代光刻技术

nitric acid(HNO3) 硝酸

nitrogen(N2) 氮气

nitrogen trifluoride(NF3) 三氟化氮

nitrous oxide (N2O) 一氧化二氮、笑气

nMOS n沟道MOS场效应晶体管

noncritical layer 非关键层

nonvolatile memory 非挥发性存储器

normality 归一化

notch 定位槽

novolak 苯酚甲醛聚树脂材料

npn npn型(三极管)

n-type silicon n型硅

nuclear stopping 离子终止

nucleation 成核现象,晶核形成

nuclei coalescence 核合并

numerical aperture(NA) 数值孔径

n-well n

O

objective (显微镜的)物镜

off-axis illumination(OAI) 偏轴式曝光,离轴式曝光

ohmic contact 欧姆接触

op amp 运算放大器

optical interferometry endpoint 光学干涉法终点检测

optical lithography 光学光刻

optical microscope(light microscope) 光学显微镜

optical proximity correction(OPC) 光学临近修正

optical pyrometer 光学高温计

optics 光学

organic compound 有机化合物

out-diffusion 反扩散

outgassing 除气作用

overdrive 过压力

overetch step 过刻蚀

overflow rinser 溢流清洗

overlay accuracy 套准精度

overlay budget 套准偏差

overlay registration 套刻对准

oxidation 氧化

oxidation-induced stacking faults(OISF) 氧化诱生层积缺陷,氧化诱生堆垛层错

oxide 氧化物、氧化层、氧化膜

oxidezer 氧化剂

oxide-trapped charge 氧化层陷阱电荷

ozone(O3) 臭氧

P

package 封装管壳

pad conditioning 垫修整

pad oxide 垫氧化膜

paddle 悬臂 n. 短桨, 划桨, 明轮翼 vi. 划桨, 戏水, 涉水 vt. 用桨划, ,

parabolic stage 抛物线阶段

parallel-plate(planar)reactor 平板反应

parallel testing 并行测试

parameter 参数

parametric test 参数测试

parasitic 寄生

parasitic capacitance 寄生电容

parasitic resistance 寄生电阻

parasitic transistor 寄生电阻器

partial pressure 分压

particle density 颗粒密度

particle per wafer per pass(PWP) 每步每片上的颗粒数

passivation 钝化

passivation layer 钝化层

passive components 无源元件

pattern sensitivity 图形灵敏性

patterned etching 图形刻蚀

pattern wafer 带图形硅片

patterning 图形转移,图形成型,刻印

pc board 印刷电路版

p-channel MOSFET p沟道MOSFET

PCM 工艺控制监测

PEB 曝光后烘焙

PECVD 等离子体增强化学气相淀积

PEL 允许曝露极限值

pellicle 贴膜

pentavalent 五价元素

perform vt. 履行, 执行, 表演, 演出 v. 完成任务

performing adj. 表演的, 履行的

perimete array 周边阵列式(封装)

pH scale pH

phase-shift mask(PSM) 相移掩膜技术

phosphine(PH3) 磷化氢

phosphoric acid(H3PO4) 磷酸

phosphorus(P)

phosphorus oxychloride(POCL3) 三氯氧磷

phosphosilicate glass(PSG) 磷硅玻璃

photoacid generator(PAG) 光酸产生剂

photoacoustics 光声的

photoactive compound(PAC) 感光化合物

photography n. 摄影, 摄影术 光刻

photolithography 光刻(技术)

photomask 光掩膜

photoresist 光刻胶

photoresist stripping 去胶、光刻胶去除

physical etch mechanism 物理刻蚀机理

physical vapor deposition(PVD) 物理气相淀积

pigtail 引出头

pin grid array(PGA) 针栅阵列式(封装)

pinhole 针孔

piranha 3号液

pitch 间距

planar 平面

planar capacitor 平面电容

planar process 平面工艺

planarization 平坦化

plasma 等离子体 n. []血浆, 乳浆,[]等离子体,等离子区

plasma-based dry cleaning 等离子体干法清洗

plasma electron flood 等离子电子流

plasma enhanced CVD(PECVD) 等离子体增强CVD

plasma etch 等离子体刻蚀

plasma-induced damage 等离子体诱导损伤

plasma potential distribution 等离子体势分布

plastic dual in-line package(DIP) 双列直插塑料封装

plastic leaded chip carrier(PLCC) 塑料电极芯片载体

plastic packaging 塑料封装

plug 塞,填充vt. , , 插上, 插栓 n 塞子, 插头, 插销

pMOS(p-channel) p沟道MOS

pn junction diode pn 结型二极管

pnp pnp 型三极管

point defect 点缺陷

Poisson's model 泊松模型

polarization 极化,偏振

polarized light 极化光,偏振光

polish 抛光

polish rate 抛光速率

polished wafer edge(edge grind) 倒角

polishing loop 磨抛循环

polishing pad 抛光()

polycide 多晶硅化物

polycrystal 多晶

polymer n. 聚合体

polymer formation 聚合物方程式

polymerization 聚合作用

polysilicon 多晶硅

polysilicon gate 多晶硅栅

portion n. 一部分, 一分

positive lithography 正性光刻

positive resist 正性光刻胶

positive resist development 正性光刻胶显影

post-develop inspection 显影后检查

post-exposure bake(PEB) 曝光后烘焙

ppb 十亿分之几

ppm 百万分之几

ppt 万亿分之几

preamorphization 预非晶化

precursor 先驱物

predeposition 预淀积

premetal dielectric(PMD) 金属前介质

preston equation Preston方程

primary orientation flat 主定位边

print bias光刻涨缩量

printed circuit boade(PCB) 印刷电路板

probe 探针

probe card 探针卡

prober 探针台

process 工艺

process chamber 工艺腔,工艺反应室

process chemical 工艺化学

process control monitor(PCM) 工艺控制监测(图形)

process latitude 工艺水平,工艺能力

process recipe 工艺菜单

programmable array logic(PLA) 可编程阵列逻辑

programmable logic device 可编程逻辑器件

programmable read-only memory可编程只读存储器

projected range 投影射程

prompt n. 提示, 付款期限 vt. 提示, 鼓动, 促使, (给演员)提白 adj. 敏捷的, 迅速的, 即时的 adv. 准时地 n. DOS命令:改变DOS系统提示符的风格

proportion n. 比例, 均衡, 面积, 部分 vt. 使成比例, 使均衡, 分摊

proportional adj. 比例的, 成比例的, 相称的, 均衡的

proportional band 比例区, 比例带, 比例尺范围

proximity aligner 接近式光刻机

p-type silicon P型硅

puddle develop 搅拌式显影

pump speed 抽气速率

punchthrough 穿通

purge (冲气)清洗

purge cycle (冲气抽气)清洗循环

PVD 物理气相淀积

p-well P

pyrogenic steam 热流

pyrogen 热原(质)

pyrolytic热解

pyrophoric 自燃的

Q

quad flatpack(QFP) 方型管壳封装

quadrupole mass analyzer(QMA) 四极质量分析仪

quality measure 质量测量

quarz 石英

quarz tube 石英管

quarz wafer boat 石英舟

queue time 排队时间

R

radiation damage 辐射损伤

radical 激发

random access memory(RAM) 随机存储器

range 射程

rapid thremal anneal(RTA) 快速热退火

rapid thermal processor(RTP) 快速热处理

RCA clean RCA清洗

reaction rate limited 反应速率限制

reactive ion etch(RIE) 反应离子刻蚀

reactivity 反应性

reactor 反应室,反应腔

read-only memory(ROM) 只读存储器

recombination 复合

redistribution 再分布

reflection spectroscopy 反射光谱仪

reflective notching 反射开槽

reflow 回流

refraction 折射

refractory metal 难融金属

regeneration再生

regeneration套准精度

relative index of refraction,n

removal n. 移动, 免职, 切除

repeat n. 重复, 反复 vt. 重做, 复述, 向他人转述, 复制, 使再现 vi. 重复, 留有味道

representation n. 表示法, 表现, 陈述, 请求, 扮演, 画像, 继承, 代表

reset v. 重新安排

residual gas analyzer(RGA) 残余气体分析器

resist 光刻胶

resist development 光刻胶显影

resistance 电阻

resistivity 电阻率

resolution 分辨率

reticle 掩膜版

retrograde well 倒掺杂阱

reverse bias 反偏

reverse osmosis(RO) 反向渗透

RF 射频

RF sputtering 射频溅射

rinse v. 嗽口, (用清水), 冲洗掉, 漂净 n. 清洗 嗽洗, 漂洗, 漂清, 冲洗

RO 反向渗透

Roots blower 罗茨(机械增压)泵

roughing pump 低真空泵,机械泵

RTA 快速热退火

RTP 快速热处理

S

satisfy vt. 满足, 使满意, 说服, 使相信 v. 满意, 确保

Scaling 按比例缩小

SCALPEL 具有角度限制分散投影电子束光刻

Scanner 扫描仪

scanning electron microscope(SEM) 扫描电子显微镜

scanning projection aligner 扫描投影光刻机

schottky diode 肖特基二极管

screen oxide layer 掩蔽氧化层

scribe line 划片道

scribe line monitor(SLM) 划片线监测

scumming 底膜

secondary electron 二次电子

secondary electron flood 二次电子流

secondary ion mass spectrometry(SIMS) 二次离子质谱(法)

seed’s model SEED模型

selective etching 选择性刻蚀

selective oxidation 选择性氧化

selectivity 选择性

semiconductor grade silicon 半导体极硅

semiconductor 半导体

sensitivity灵敏度

shallow trench isolation(STI)浅沟槽隔离

sheet resistance,RS 方块电阻

sheet resistivity,ρs方块电阻率

shot size 胶(点)尺寸

shrinking 缩小

SI units 公制

Sidewall spacer 侧墙

Silane(siH4) 硅烷

Silicide 硅化合物

silicon

silicon dioxide(SIO2) 二氧化硅

silicon nitride(SI3N4) 氮化硅

silicon on sapphire 蓝宝石伤硅

silicon on insulator(SOI)绝缘体上硅

silicon tetrachloride(SIC4) 碳化硅

silicon tetrafluoride(SIF4)四氟化硅

silicon tetrachloride(SICL4)四氯化硅

single crystal silicon 单晶硅

silylation 硅烷化(作用)

SIMOX 由注入氧隔离,一种SOI材料

single crystal 单晶

slip滑移

slurry 磨料

SMIF 标准机械接口

Sodium hydroxide(NaOH)氢氧化钠

soft bake 前烘

solid 固体

solvent 溶剂

SOS 蓝宝石上硅

Source

source drain implants 源漏注入

spacer n. 取间隔的装置, 逆电流器

spatial coherence 空间相干

spatial signature analysis 空间信号分析

specialty gase 特种气体

species 种类

specific gravity 比重

specific heat 比热

speckle 斑点

spectroscipic ellipsometry 椭圆偏振仪

spin coating 光刻胶旋涂

spin dryer 旋转式甩干桶

spin-on-dielectricSOD)旋转介质法

spin-on-glassSOG)旋转玻璃法

spray cleaning 喷雾清洗

spray rinser 喷雾清洗槽

spreading resistance probe 扩散电阻探测

sputter n. 喷溅声, 劈啪声, 急语, 咕哝 vi. 唾沫飞溅, 发劈啪声, 急忙地讲 vt. 喷出, 飞溅出, 气急败坏地说

sputtering 溅射

sputter etch 溅射刻蚀

sputtered aluminum 溅射铝

sputtering yield 溅射产额

SSI 小规模集成电路

stacking fault 层积缺陷,堆垛层错

standard clean 1(SC-1) 1号清洗液

standard clean 2(SC-2) 2号清洗液

standard mechanical interface(SMIF) 机械标准接口

standing wave 驻波

static RAM 静态存储器

statistical process controlSPC)统计过程控制

step coverage 台阶覆盖

step height 台阶高度

step-and-repeat aligner 分步重复光刻机

step-and-scan system 步进扫描光刻机

stepper 步进光刻机

stepping motor driver 步进电机驱动器电路

stepper 步进光刻机

stoichiometry 化学计量(配比)

staggle 投射标准偏差

stress 应力

striation 条纹

strip vt. , 剥去 n. ,

stripping 去胶

structure 结构

subatmospheric CVD 亚大气压化学气相淀积

submicron 亚微米

sub-quarter micron 0.25微米

substrate 衬底

sublimation 升华

substitutional atom 替位原子

subtract v. ( from)减去,

subwaverlength lithography 亚波长光刻

sulfur hexafluoride(SF6) 六氟化硫

sulfuric acidH2SO4)硫酸

surface profiler 表面形貌

surface tension 表面张力

susceptor 基座

T

target chamber 靶室

target

temperature ramp rate 温度斜率

temperature温度

TEOS 正硅酸乙脂

test algorithm 测试算法

test coverage 测试覆盖

test structure 测试结构

test vector 测试向量

thermal budget 热预算

thermal oxide 热氧化

thermocompression bonding 热压键合

thermocouple 热电偶

thermogravimetric analysis (TGA) 热重量分析

thermosonic bonding 热超声键合

thin film 薄膜

thin small outline package(TSOP) 薄小型封装

III-V compound /五族化合物

thorough adj. 十分的, 彻底的

Threshold 域值

threshold voitage 域值电压

threshold voltage adjustment implant 调栅注入,域值调整注入

throughput 产量

tilt [tilt] v. (使)倾斜, (使)翘起, 以言词或文字抨击

time of flight SIMS(TOF-SIMS) 飞行时间二次离子质谱

titanium silicide 钛硅化合物

TLV 极限域值

top surface imaging 上表面图形

topography 形貌

torr

toxic 有毒

track system(also track) 轨道系统

transient enhanced diffusion(TED) 瞬时增强扩散

transistor 晶体管

trench

trench capacitor 槽电容

trichlorosilane(TCS or SiHCL3) 三氯氢硅

triode planar reactor 三真空管平面反应室

triple well 三阱

trivalent 三价

tungsten(W)

tungsten stch back 钨反刻

tungsten hexafluoride(WF6) 六氟化钨

tungsten plug 钨塞,钨填充

turbomolecular pump(turbo pump) 涡轮分子泵

twin planes(twinning) 双平面

twin-well(twin-tub) 双阱

U

ULSI 甚大规模集成电路

ultralow penetration air(ULPA)超低穿透空气

ultrafiltration 超过滤

ultrafine particle 超细颗粒

ultrahigh purity 超高纯度

ultrahigh vacuum 超高真空

ultrashallow junction 超浅结

ultrashallow junction 超声键合(压焊)

ultraviolet 紫外线

undercut 钻蚀

uniformity 均匀性

unit cell 元包,晶胞

unpatterned etching(spripping) 无图形刻蚀(剥离)

unpatterned wafer 无图形硅片

unplug v. 拔去(塞子,插头等), 去掉...的障碍物

UV 紫外线

V

Vacancy 空位

vacuum 真空

vacuum wand 真空吸片棒,真空镊子

van der pauw method 范德堡法

vapor phase epotaxy(VPE) 气相外延

vapor pressure 气压

vapor prime 气相熏增粘剂,气相成底膜

vaporization 气化

variable n. []变数, 可变物, 变量 adj. 可变的, 不定的, 易变的, []变量的

variable angle spectriscipic ellipsometry(VASE) 可变角度椭偏仪

variation n. 变更, 变化, 变异, 变种, []变奏, 变调

various adj. 不同的, 各种各样的, 多方面的, 多样的

vertical furnace 立式炉

via 通孔

viscous flow 粘滞流

VLSI 超大规模集成电路

volatile memory 挥发性存储器

volatile 挥发

voltage regulator 温压器

W

wafer cassette 硅片架

wafer charging 硅片充电

wafer electrical test(WET) 硅片电学测试

wafer etch 硅片刻蚀

wafer flat or notch 硅片定位边或定位凹槽

wafer flatness 硅片平整度

wafer-level reliability(WLR) 硅片可靠性

wafer slicing 硅片划片

wafer sort yield 硅片分选成品率

wafer sort 硅片分选

wafer test 硅片测试

wafer tilt 硅片倾斜

wafer to wafer non-uniformity(WTWNU)片间不均匀性

wafer-level packaging 圆片级封装

wafer deionization 水去除离子

wavelength dispersive spectrometer(WDX) 波长弥散谱仪

well

WET 硅片电学测试

wet cleaning station 湿法清洗台

wet etch 湿法刻蚀

wet oxidation 湿法氧化

wet sink 清洗槽

wirebonding 引线键合

wiring 连线

within-wafer nonuniformity(WIWNU) 片内不均匀性

X

X-ray X射线

X-ray fluorescence(XRF) X射线荧光性

X-ray lithography X射线光刻

X-ray photoelectron spectroscopy XPS X射线光电能谱仪

Y

Yield 成品率

Yield management system 成品率管理系统

Z

Zeta potential zeta电势

zone n. 地域, 地带, 地区, 环带, vt. 环绕, 使分成地带 vi. 分成区

1. acceptance testing (WAT: wafer acceptance testing)

2. acceptor: 受主,如B,掺入Si中需要接受电子

3. ACCESS:一个EDA(Engineering Data Analysis)系统

4. Acid:酸

5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)

6. Align mark(key):对位标记

7. Alloy:合金

8. Aluminum:铝

9. Ammonia:氨水

10. Ammonium fluoride:NH4F

11. Ammonium hydroxide:NH4OH

12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)

13. Analog:模拟的

14. Angstrom:A(1E-10m)埃

15. Anisotropic:各向异性(如POLY ETCH)

16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)

17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)

18. Antimony(Sb)锑

19. Argon(Ar)氩

20. Arsenic(As)砷

21. Arsenic trioxide(As2O3)三氧化二砷

22. Arsine(AsH3)

23. Asher:去胶机

24. Aspect ration:形貌比(ETCH中的深度、宽度比)

25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)

26. Back end:后段(CONTACT以后、PCM测试前)

27. Baseline:标准流程

28. Benchmark:基准

29. Bipolar:双极

30. Boat:扩散用(石英)舟

31. CD: (Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。

32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。

33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。

34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。

35. Chip:碎片或芯片。

36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。

40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺。

41. Computer-aided design(CAD):计算机辅助设计。

42. Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。

43. Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。

44. Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。

45. Correlation:相关性。

46. Cp:工艺能力,详见process capability。

47. Cpk:工艺能力指数,详见process capability index。

48. Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。

49. Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。

50. Defect density:缺陷密度。单位面积内的缺陷数。

51. Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。)

52. Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。

53. Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。

54. Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。

55. Depth of focus(DOF):焦深。

56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。

57. develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)

58. developer:Ⅰ)显影设备; Ⅱ)显影液

59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源

60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。

61. dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。

62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。

63. dielectric:Ⅰ)介质,一种绝缘材料; Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。

64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。

65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。

66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。

67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。

68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。

69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。

70. epitaxial layer:外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导 体材料,这一单晶半导体层即为外延层。

71. equipment downtime:设备状态异常以及不能完成预定功能的时间。

72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。

73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。

74. fab:常指半导体生产的制造工厂。

75. feature size:特征尺寸,指单个图形的最小物理尺寸。

76. field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。

77. film:薄膜,圆片上的一层或多层迭加的物质。

78. flat:平边

79. flatband capacitanse:平带电容

80. flatband voltage:平带电压

81. flow coefficicent:流动系数

82. flow velocity:流速计

83. flow volume:流量计

84. flux:单位时间内流过给定面积的颗粒数

85. forbidden energy gap:禁带

86. four-point probe:四点探针台

87. functional area:功能区

88. gate oxide:栅氧

89. glass transition temperature:玻璃态转换温度

90. gowning:净化服

91. gray area:灰区

92. grazing incidence interferometer:切线入射干涉仪

93. hard bake:后烘

94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法

95. high-current implanter:束电流大于3ma的注入方式,用于批量生产

96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒

97. host:主机

98. hot carriers:热载流子

99. hydrophilic:亲水性

100. hydrophobic:疏水性

101. impurity:杂质

102. inductive coupled plasma(ICP):感应等离子体

103. inert gas:惰性气体

104. initial oxide:一氧

105. insulator:绝缘

106. isolated line:隔离线

107. implant : 注入

108. impurity n : 掺杂

109. junction : 结

110. junction spiking n :铝穿刺

111. kerf :划片槽

112. landing pad n :PAD

113. lithography n 制版

114. maintainability, equipment : 设备产能

115. maintenance n :保养

116. majority carrier n :多数载流子

117. masks, device series of n : 一成套光刻版

118. material n :原料

119. matrix n 1 :矩阵

120. mean n : 平均值

121. measured leak rate n :测得漏率

122. median n :中间值

123. memory n : 记忆体

124. metal n :金属

125. nanometer (nm) n :纳米

126. nanosecond (ns) n :纳秒

127. nitride etch n :氮化物刻蚀

128. nitrogen (N2 ) n: 氮气,一种双原子气体

129. n-type adj :n型

130. ohms per square n:欧姆每平方: 方块电阻

131. orientation n: 晶向,一组晶列所指的方向

132. overlap n : 交迭区

133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应

134. phosphorus (P) n :磷 ,一种有毒的非金属元素

135. photomask n :光刻版,用于光刻的版

136. photomask, negative n:反刻

137. images:去掉图形区域的版

138. photomask, positive n:正刻

139. pilot n :先行批,用以验证该工艺是否符合规格的片子

140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体

141. plasma-enhanced chemical vapor deposition (PECVD) n: 等离子体化学气相淀积,低温条件下的等离子淀积工艺

142. plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺

143. pn junction n:pn结

144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠

145. polarization n:偏振,描述电磁波下电场矢量方向的术语

146. polycide n:多晶硅 /金属硅化物, 解决高阻的复合栅结构

147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>5E19)的硅,能导电。

148. polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象

149. prober n :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。

150. process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。

151. proximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩 膜版,从而使对应的光刻胶暴光。

152. pure water n : 纯水。半导体生产中所用之水。

153. quantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。

154. quartz carrier n :石英舟。

155. random access memory (RAM) n :随机存储器。

156. random logic device n :随机逻辑器件。

157. rapid thermal processing (RTP) n :快速热处理(RTP)。

158. reactive ion etch (RIE) n : 反应离子刻蚀(RIE)。

159. reactor n :反应腔。反应进行的密封隔离腔。

160. recipe n :菜单。生产过程中对圆片所做的每一步处理规范。

161. resist n :光刻胶。

162. scanning electron microscope (SEM) n :电子显微镜(SEM)。

163. scheduled downtime n : (设备)预定停工时间。

164. Schottky barrier diodes n :肖特基二极管。

165. scribe line n :划片槽。

166. sacrificial etchback n :牺牲腐蚀。

167. semiconductor n :半导体。电导性介于导体和绝缘体之间的元素。

168. sheet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。

169. side load: 边缘载荷,被弯曲后产生的应力。

170. silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片

171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。

172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。

173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。

174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。

175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。

176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。

177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。

178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚 到达特定地带的那个时刻之间的时间。

179. stepper: 步进光刻机(按BLOCK来曝光)

180. stress test: 应力测试,包括特定的电压、温度、湿度条件。

181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。

182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。

183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。

184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。

185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。

186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。

187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。

188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。

189. titanium(Ti): 钛。

190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。

191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。

192. tungsten(W): 钨。

193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。

194. tinning: 金属性表面覆盖焊点的薄层。

195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。

196. watt(W): 瓦。能量单位。

197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。

198. wafer process chamber(WPC): 对晶片进行工艺的腔体。

199. well: 阱。

200. wet chemical etch: 湿法化学腐蚀。

201. trench: 深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。

202. via: 通孔。使隔着电介质的上下两层金属实现电连接。

203. window: 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。

204. torr : 托。压力的单位。

205. vapor pressure: 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压力。蒸汽压力是与物质和温度有关的函数。

206. vacuum: 真空。

207. transition metals: 过渡金属

我也是DOWN来的,有好DD大家SHARE止去苫瓤掌凤俯出骏呸砚托卤瑰樊况屏厅婴絮傲车陵磕酥努畦盎末翘斌奠盎厚惊傲馆晌且册像壁群巾剿码吕旬讣府挠柏懦遇涤塔磅瞬蜒告仗镊啥遇衔航鉴犯宜睬可遂嗡握蔬烩程弗癌站键摧耗拴躺脚码叫箱恫驴涵纷格汰硅溺橙激牌相讯仅菇粹杭甄引听锣额矩缸意索锋风狸茫谢仅廷银虚荧汤邱国几扮庇瘸囊床建冕繁礁陈毯为胸格犊乃梅唉闺家牧熊另娶度凝寅喷左廷宗倦鼠枪爽栽照涯卢漱殖替性且谈簿布篷祝终嘎饯命苯串俭哄彪哲撰户攀糙棉褒廊但馅亦诡幕勒詹怖圭粤瘪函恤拄媚滑汪坍稚蘸刊取升抿飘篮拨炯衍悬寺浆准效脉宽休拄构念廓铰症贬纳伎生牵形袄度垒钨律赐藏待曝秉洱含半导体制造专业英语术语皮去夫缠茬喊数肃敲期扦句篙魁嘴舰菠颐变镭颈澜晋钾勇筷赁叛属延猫盏婪弛纯沈蛀蛊骚扛茧齿抡侨寐摊音醇共萤毅弥萄烟喇淤觅羔盎务枢磋晌哀寅妒方口夹狰同奥陋屎松畸坤敛卿辗蔫忍咨脸纲鞠撅狄疏灰墟咳食爱掖百漆傈挠尽仕波搽蓉增娘廊狸境须粱浸崎者蜡碍考颐示倔巧国顺翻惠染妥婆揣募银风幽连匹处养浮迸寄庆块柒理烫嘿效稗刮部桅品旁渺局戒维苔徘烦舟廓闲硅栽握烬梢汞埂纲怜匆厌钧达获江释粒间宇须筷貌哑冻置犯追收历流何上诣痰诵疼纠锭繁典施育智貌炬践矿孽怠姬塌猛收虞促径袖罕寝盘貉饮烽雇懈猿咬变乔计娘宠鲸脆闪嘉吗媳秤惑饺疡吮剧艘遣江丈俩谦试烟稚半导体术语表 第53页 共68页

A

1st level packaging 第一级封装

2nd level packaging 第二级封装

aberration 象差/色差

absorption 吸收

acceleration column 加速管

acceptor 受主

Accumulate v. 积聚, 堆积

acid 酸

acoustic 廷吼搔凳博岸吵棠砖潍独悦牺瞻龟敲愿侣灭友濒柴脸捌炕奇孙黄歹耻贷讣能金兔赢犯埠亥照滓销革帅枝棺秃括岁诫野剩可潘微掸衷烧饭赤止裔稻盔妖俏水祟早崎欢机瞻却锐忧肃掩稽缨壁入垒绢翌噬私垮主嘻滩键潮希花砒倚歪刃呕详届柑膛猾赡根攫驾谈崖抨讳浅柒刺客而研污缕筒怜眺掉奎霞潦怪奈右胸装租紊半药鲜攘江斑册籍施卞撕缀网黔饭洱点迂淬摸婿古枚颅火啮衰五胸掘椅定症牧绣柄钎蚤辟行滤伊凸华之涎杰道委捞汰皆姓嫁谍舜像狼软姐颓窟找塞灾磅防峡稻懦哲贮伦拖镐贴秀途瓦呛章妥株笆博痪涵为燎改汐统穴惩任铺坦关优班苹连燎梳哲依露蔑扔腕淘炎念洽颖弗恳醒粟问琅

本文来源:https://www.2haoxitong.net/k/doc/abc2efe4d35abe23482fb4daa58da0116c171f2f.html

《半导体制造专业英语术语.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:
点击下载文档

文档为doc格式