分频器设计实验报告

发布时间:2019-06-01 06:11:12   来源:文档文库   
字号:

竭诚为您提供优质文档/双击可除

分频器设计实验报告





  篇一:n分频器分析与设计

  一、实验目的

  掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。

  二、实验原理

  分频是对输入信号频率分频。1cD4017逻辑功能

  274190/74191逻辑功能

  3、集成计数器级联

  当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程

  在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联

  可根据具体计数需求和增减需求,选用7419074191选择不同功能、同步或异步设计等。

  674190/74191计数器编程

  由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。

  n与译码逻辑功能如下。

  774191组成(n-1/2)分频器电路如下图:

  u3

  计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。

  三、实验仪器

  1、直流稳压电源12、信号发生器13、数字万用表14、实验箱15、示波器1

  四、仿真过程

  1、按照cD401774191功能表验证其功能。274191组成可编程计数器

  (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下

  仿真波形如下

  (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下:

  仿真波形如下

  篇二:数字逻辑实验报告(5分频器)

  实验报告

  课程名称:实验项目:姓名:专业:班级:学号:

  数字逻辑实验5分频器的原理及实现

  计算机科学与技术计算机14-8

  计算机科学与技术学院

  实验教学中心

  20XX1215

  实验项目名称:5分频器的原理及实现

  一、实验要求

  设计一个5分频器,使输出信号的频率是时钟脉冲信号频率的1/5

  二、实验目的

  掌握分频器的逻辑功能及应用方法,利用分频器设计实际电路。

  三、实验内容

  5分频器功能分析:

  我们采用同步加法计数器的方法设计分频器。由于分频器在每个时钟脉冲的作用下,状态都会发生变化,我们可以设置前两个脉冲计数状态下的外部信号输出为1,后3个脉冲计数脉冲状态下,输出信号为0。这样保证完整周期的时钟信号,该时钟周期是时钟脉冲信号周期的5倍,实现了5分频的目的。由功能分析,5分频器真值表如下:

  由上表可以得到同步计数器函数表达式:+

  由以上4式可以画出逻辑电路图,如下:

  Q1

  (n?1)(n?

  1)(n?1)

  ?Q1Q2Q3?Q1(Q2?Q3)?Q1Q3

  Q2Q3

  Z?Q1Q2

  四、实验步骤建立一个新的文件夹

  打开QuartusⅡ后,新建工程,输入工程名。

  选择仿真器件,器件选择FLex10K,  

芯片选择epF10K10Tc144-4。新建“blockDiagram/schematicFile”文件画逻辑图并编译。新建“VectorwaveformFile”波形文件,设置好输入的波形,保存文件并分析仿真波形。

  选择“Assignments->pins”,绑定管脚并编译。

  选择“Tools->programmer”点击“start”下载到芯片并进行逻辑验证。

  五、实验设备

  Lp-2900逻辑设计实验平台,计算机,Quartus

  六、实验结果仿真波形如下:

  经过验证,仿真波形符合设计要求。

  篇三:(VhDL实验报告)模值12计数器、分频器的设计

  电子科技大学成都学院学院

  一、实验名称模值

  12计数器,分频器设计

  二、实验目的

  1、了解二进制计数器的工作原理。2、时钟在编程过程中的作用。

  3、学习数控分频器的设计、分析和测试方法。4、了解和掌握分频电路实现的方法。5、掌握eDA技术的层次化设计方法。

  三、实验原理

  (1步使能的

  使能端高电平有效)则开始计数,在计数过程中再检测复位信号是否有效,使计数值清零,继所

  (2)数控分频器的功能就是当输入端给定不同的输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器来设计完成的,方法是将计数溢出位与预置数加载输入信号相接得到。

  四、实验内容

  (1)“模值12计数器的设计”的实验要求完成的任务是在时钟信号的作用下,通过使能端和复位信号来完成加法计数器的计数。实验中时钟信号使用数字时钟源模块的1hZ信号,用一位拨动开关K1表示使能端信号,用复位开关s1表示复位信号,用LeD模块的LeD1LeD4来表示计数的二进制结果。实验LeD亮表示对应的位为‘1’,LeD灭表示对应的位为‘0’。通过输入不同的值模拟计

  按键开关的输出与FpgA的管脚连接表如下图所示:

  (一)模值12计数器的设计1、建立工程文件

  1)运行QuARTusII软件。

  2)选择软件中的菜单File>newprojectwizard,新建一个工程。3)点击nexT进入工作目录,设定工程名和实体名。4)点击nexT,进入下一设定对话框,(本次实验选用cycloneII系列芯片ep2c35F672c8),在对话框的左上方的Family下拉菜单中选取cycloneII,在

  中间右边的pincount下拉菜单中选取672,在speedgrade下拉菜单中选取8,在左下方的Availabledevices框中选取ep2c35F672c8。点击nexT完成器件的选取,进入eDATooL设定界面。

  5)按默认选项,点击nexT出现新建工程以前所有的设定信息,再点击FInIsh完成新建工程的建立。

  2、建立文本设计文件

  1)选择File--new--DeviceDesignFiles--VhDLFile,点击oK

  钮,打开进入文本编辑器对话框。

  2)在文本编辑器中输入对应VhDL

  3)对文本文件进行编译。选择processing--compilertool--start或直接点快捷栏上的三角形则会出现编译器窗口。需要说明的是在进行设计文件的综合和分析,也可以单独打开某个分析综合过程不必进行全编译界面。当完成上述窗口的设定后,点击sTART按钮进行设计文件的全编译。如果文件有错,在软件的下方则会提示错误的原因和位置,以便于使用者进行修改直到设计文件无错。整个编译完成,软件会提示编译成功。

  

本文来源:https://www.2haoxitong.net/k/doc/0d30286748649b6648d7c1c708a1284ac950053c.html

《分频器设计实验报告.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:
点击下载文档

文档为doc格式