EDA技术复习思考题

发布时间:2012-05-04 10:00:07   来源:文档文库   
字号:

EDA技术》复习思考题

1.1 什么是EDA技术,利用EDA技术设计电子电路有哪些优点?

1.2 EDA技术设计电子电路应具有哪些条件?

1.3 为什么说从传统的电子电路设计方法到EDA技术是电子设计的革命性变化?

1.4 你拿到一个电子糸统的设计任务后,将如何实施?

1.5 电子设计报告应包括哪些基本内容?

1.6 EDA技术设计电子电路有哪几种输入方法,各具有哪些特点?

1.7 常用的硬件描述语言有哪几种,它们在逻辑描述方面有什么区别?

1.8 设计专用集成电路芯片(ASIC)的方法有哪些,与CPLD/FPGA芯片有何关系?

1.9 目前比较流行的EDA软件有哪些,各有何主要特点?

2.1 EDA技术设计数字电路糸统要进行哪几个主要步骤,每一步的主要作用是什么?

2.2 EDA软件具有哪些主要功能模块?

2.3 VHDL有哪些特点;

2.4 什么叫第三方软件,列出几种第三方软件?

2.5 VHD描述具有不同的抽象级,将下列抽象级高由到低排列:门级、RTL级、电学级、系为级、版图级、功能级;

2.6 FPGA/CPLD设计数字电路糸统要具备哪些基本条件?

2.7 目前流行的主要EDA软件有哪几种,各由哪家公司推出?各有何特点?

2.8 什么叫内核,如何分类?

2.9 名词解释:逻辑综合、逻辑适配、行为仿真、功能仿真、时序仿真;

2.10 EDA技术中的综合是什么概念,开发流程中包括哪几个级别的综合?

3.1 CPLD电路的组成包括哪几个主要部分,它是基于什原理实现逻辑电路的?

3.2 FPGA电路的组成包括哪几个主要部分,它是基于什原理实现逻辑电路的?

2.3 CPLD/FPGA在编程下载方面有何不同?

3.4 CPLD/FPGA在应用选择方面有何不同?如何选择?

3.5 分别列举几种CPLD/FPGA芯片。

3.6 CPLD/FPA设计电路的主要流程步骤是什么,每一步要应用何种相应的工具软件?

3.7 FLEX10K10FPGA每个包含8LAB,用此种芯片构成一个计数器,计数范围是000000——999999,若用十进制计数方式计数,需要多少LAB

3.8 什么是功耗降低方式?

3.9 CPLD扩展乘积项有哪两种方法?

5.1 VHDL程序包含哪几个主要部分,每部分各实现何种功能?

5.2 VHDL常用的“库”有哪几种,哪些需要用语句显性的打开?

5.3 VHDL中的信号和变量有何区别,应用时要注意什么?

5.4 VHDL中的主要预定义数据类型有哪几种?

5.5 VHDL中的主要自定义数据类型有哪几种?

5.6 VHDL的基本语句分成哪两大类,各有何主要特点,各包含哪些基本语句?

5.7 并行语句和顺序语句是否均能直接出现在结构体中,若不行则如何应用?

5.8 为什么说PROCESS语句具有并行和顺序双重性?

5.9 IF…条件语句有哪几种基本格式,举例说明它们的应用;

5.10 WHEN…条件语句有哪几种基本格式,举例说明它们的应用;

5.11 一个等式中连续出现两个以上的运算符号,什么情况下要加括号?

5.12 举例比较CASE 语句、条信号赋值语句、选择信号赋值语句的应用;

5.13 举例比较循环语句NEXT…语句、LOOP语句的异同;

5.14 EDA技术设计电路时,有哪些方法能实现电路模块之间的联接?

5.14 VHDL设计一个具有同步直接置位和复位功能的D型触发器。

5.10 VHDL设计一个具有同步直接复位功能J-K型触发器。

5.11 VHDL设计一个4输入与非门、8输入或非门、异或门电路。

5.12 VHDL设计4输入的数据选择器,然后按层次化设计方法实现8输入数据选择器。

5.13 VHDL设计一个具有同步直接复位功能J-K型触发器。

6.1 VHDL设计组合电路模块有哪些方法?

6.2 举例说明同步复位和异步复位触发器的设计;

6.3VHDL设计一个八人表决器电路。当参加表决人数超过半数投赞同票时电路输出亮绿灯,反之,亮红灯。

6.4 VHDL设计四位十进制数码显示的数字频率计;

6.5 VHDL语言、按一般状态机描述方法设计一具有系统复位功能的1位十进制计数、译码电路,电路输出端连接到七段共阴数码管,当计数脉冲不断输入时,循环显示09

本文来源:https://www.2haoxitong.net/k/doc/a83d9d1014791711cc791730.html

《EDA技术复习思考题.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:
点击下载文档

文档为doc格式